Intel irá fornecer soluções de empacotamento de semicondutores para AWS

Em nota, a companhia também anunciou estar otimista quanto começar a fornecer chips também para a Qualcomm. Apresentou ainda seu roteiro de inovações até 2025

intel

A Intel Corporation apresentou seu novo modelo de negócio com base em empacotamento de semicondutores. Um de seus clientes será a Amazon Web Services (AWS) que utilizará as soluções de empacotamento da Intel Foundry Sysem (IFS).

O próximo cliente poderá ser a Qualcomm, pois a Intel afirmou estar confiante quanto o fechamento de negócio com a fabricante. A Intel também divulgou a série de inovações de empacotamento que farão parte de seus produtos até 2025 e além. O lançamento da IFS foi anunciado em março de 2021 em março como parte de sua estratégia IDM 2.0.

“Estamos acelerando a estratégia de inovação para garantir nossa liderança de performance processo em 2025”, afirmou Pat Gelsinger, CEO da Intel, durante o Intel Accelerated, webcast global da companhia. Ele explicou que a companhia irá entregar tecnologias que vão desde “transistor até o nível de sistema”.

A companhia intende adotar de forma rápida a litografia ultravioleta extrema (EUV) de última geração, a EUV de Alta Abertura Numérica (High NA). A Intel diz estar em condições de receber a primeira ferramenta de produção High NA EUV do setor. Além disso, anunciou a chegada do RibbonFet, sua primeira arquitetura de transistor em mais de uma década. Outro lançamento será o PowerVia, método para fornecimento de energia traseira.

As novas tecnologias:

  • O Intel 7 oferece um aumento de desempenho por watt de aproximadamente 10% a 15% em relação ao Intel 10nm SuperFin, com base em otimizações do transistor FinFET. Estará presente em produtos como Alder Lake para clientes e Sapphire Rapids para o centro de dados. Ambos devem entrar em fase de produção no início de 2022.
  • O Intel 4 usa a litografia EUV para impressão de recursos pequenos usando luz de comprimento de onda ultracurto. Com um aumento de aproximadamente 20% no desempenho por watt, o Intel 4 entra em fase de produção na segunda metade de 2022. Ele será enviado aos clientes em 2023, incluindo Meteor Lake para clientes e Granite Rapids para o centro de dados.
  • O Intel 3 usa outras otimizações FinFET e EUV aprimorado para proporcionar um aumento de performance por watt de aproximadamente 18% em comparação ao Intel 4, além de melhorias adicionais de área. O início da fabricação de produtos com Intel 3 está previsto para a segunda metade de 2023.
  • O Intel 20A marca o início da era angstrom com as tecnologias, RibbonFET e PowerVia. RibbonFET é a implementação da Intel de um transistor gate-all-around. A tecnologia oferece velocidades de comutação de transistor maiores. Já PowerVia foca no fornecimento de energia traseira que elimina a necessidade de roteamento de energia na parte frontal do wafer. A companhia deve lançar o Intel 20 em 2024 e está otimista com a possibilidade de firmar parceria com a Qualcomm para a tecnologia de processo Intel 20A.
  • 2025 e além: o Intel 18A entrará em fase de desenvolvimento no início de 2025, com melhorias do RibbonFET. O que deverá proporcionar mais um salto considerável na performance de transistor. A Intel também está trabalhando na definição, construção e implantação da próxima geração de High NA EUV. Em parceria com a ASML, a Intel espera garantir o sucesso de mais um produto revolucionário que irá além da atual geração de EUV.

Insights sobre o empacotamento

  • EMIB é a primeira solução de ponte embutida 2.5D, com lançamento de produtos desde 2017. Sapphire Rapids será o primeiro produto Xeon, linha de processadores da Intel, para o centro de dados a lançar ponte de interconexão multi-die (EMIB) embutida em grande quantidade. 
  • A tecnologia Foveros usa capacidades de empacotamento de nível de wafer para oferecer uma solução de empilhamento 3D. O processador Meteor Lake marca a implementação de segunda geração do Foveros em um produto cliente, com bump pitch de 36 micros e uma faixa de potência de design térmico de 5 a 125W.
  • O Foveros Omni marca a chegada da próxima geração de tecnologia Foveros nas tecnologias de empilhamento 3D. Servirá para projetos modulares e interconexão die-to-die. O Foveros Omni permite desagregar o die, combinando tiles de topo e de base em nós de fabricação mistos. O início da produção está previsto para 2023.
  • Já o Foveros Direct foca na ligação direta de cobre a cobre para interconexões de baixa resistência de forma. Assim, já não será possível saber onde termina o wafer e onde começa o empacotamento. O Foveros Direct ativa bump pitches de sub-10 microns, proporcionando um aumento de ordem de magnitude na densidade de interconexão para empilhamento 3D. O Foveros Direct complementa o Omni e deve ficar pronto em 2023. (Com assessoria de imprensa)
Avatar photo

Da Redação

A Momento Editorial nasceu em 2005. É fruto de mais de 20 anos de experiência jornalística nas áreas de Tecnologia da Informação e Comunicação (TIC) e telecomunicações. Foi criada com a missão de produzir e disseminar informação sobre o papel das TICs na sociedade.

Artigos: 10387